CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dac fpga

搜索资源列表

  1. FPGA-based-DAC

    0下载:
  2. 用fpga实现的DA转换器,有说明和源码,VDHL文件。 A PLD Based Delta-Sigma DAC Delta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinary performance and low cost of today s audio CD players. The simplest Delta-Sigma DAC cons
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:58756
    • 提供者:开心
  1. FPGA控制AD程序,ADC,DAC转换接口

    2下载:
  2. FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar,FPGA control AD procedure
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:269105
    • 提供者:黄群
  1. Example.rar

    0下载:
  2. Nanny Fpga开发板的正版测试程序,内含lcd1602液晶控制驱动程序,Ad9201模数转换器和AD5440数模转换器的驱动控制程序,Nanny Fpga Development Board of the Genuine test procedures, including control lcd1602 LCD driver, Ad9201 ADC and DAC AD5440 driver control procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:135328
    • 提供者:zhijun
  1. dac

    1下载:
  2. 基于FPGA实现对DA芯片的控制,以及时序的编写-FPGA control to DA chip
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-23
    • 文件大小:1205440
    • 提供者:赵龙贺
  1. Dac

    0下载:
  2. 这是一款用VHDL语言编写的对外部DA芯片的控制程序,所用DA转换芯片是TI公司的TLC5615.-This is a VHDL languages used on the external DA chip control procedures, using DA converter chip is TI
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:311677
    • 提供者:裴跃生
  1. de2_dac_lcd

    0下载:
  2. FPGA KIT DE2-35 This project outputs a selected voltaje using VGA DAC, the DAC module is controlled using LCD display and buttons.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:571615
    • 提供者:juan pablo
  1. DAC_TLV5616

    1下载:
  2. tlv5614的驱动程序,用verilog语言编写的,fpga芯片为altera公司的ep2c35。 调试成功放心使用-tlv5614 driver, using verilog language written in, fpga chips altera company ep2c35. Assured the success of the use of debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:352557
    • 提供者:王乐
  1. sine_wave_generator_using_FPGA_implementation

    0下载:
  2. 该资料介绍了用FPGA实现正弦波发生器,原理是利用内置rom表,通过查询的方式实现输出,然后经过外部DAC输出,频率达到1MHz-The information on the sine wave generator using FPGA implementation, the principle is the use of built-in rom form, by querying the means to achieve the output, and then an external DA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2190272
    • 提供者:陈振林
  1. FPGA_ADDA

    0下载:
  2. 基于 Cyclone EP1C6240C8的ADS2807,DAC2902 测试程序。主要用来使用FPGA控制ADC采集和DAC的输出,从而达到高频率信号处理的功能。首先从ADC2807采集数据,然后送给DAC2902输出。 采用FPGA口线模拟ADC2807和DAC2902的时序来实现。 提供ADC采样频率控制、DAC输出频率控制、输出波形控制、ADC通道转换、DAC通道转换等功能。-Based on Cyclone EP1C6240C8 of the ADS2807, DAC2902
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2019752
    • 提供者:icemoon1987
  1. fpgawork

    0下载:
  2. fpga 资料 学习 ppt adc dac led-fpga learning ppt adc dac led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:166420
    • 提供者:李龙
  1. TLC5620

    0下载:
  2. Verilog HDL语言,FPGA实现TLC5620的DAC源代码-Verilog HDL language, FPGA implementation of the DAC TLC5620 source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:497039
    • 提供者:双目林
  1. DA

    0下载:
  2. 采用Verilog在FPGA上实现一阶Σ-Δ DAC,仿真和实际验证都正确,基本可以达到16位DAC的信噪比
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:15496
    • 提供者:陈阳
  1. DAC

    0下载:
  2. a verilog code about dac of audio codec on fpga board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:645
    • 提供者:DCLAB
  1. ADCDAC

    0下载:
  2. FPGA 开发板实验例程。。高速ADC,DAC实现-FPGA development board test routines. . High-speed ADC, DAC achieved. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2760743
    • 提供者:李娟
  1. dac

    2下载:
  2. DA芯片输出控制 SPI协议 只写不读 FPGA用 verilog-DA-chip SPI protocol output control does not read write-only FPGA with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1354
    • 提供者:wuzhongpeng
  1. Dac

    0下载:
  2. FPGA(xilinx) 赛灵思试验箱 试验程序2 数字/模拟转换DAC FPGA(xilinx) testbox test programe DAC-FPGA(xilinx) testbox test programe DAC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:788032
    • 提供者:zengrong
  1. DAC

    0下载:
  2. 这是一段基于FPGA的dac转换代码。欢迎大家下载测试使用。(This is a section of FPGA based DAC conversion code. Welcome to download, test, use.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1341440
    • 提供者:nichengshi
  1. AD5683 Driver

    0下载:
  2. AD5683 16位高精度DAC的FPGA程序,采用Verilog语言编写(AD5683 16 bit high precision DAC FPGA program, written in Verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:2048
    • 提供者:swordyan
  1. 5.DAC

    0下载:
  2. 数模转换器即 D/A 转换器,或简称 DAC,是指将数字信号转变为模拟信号的电子元件。(A digital to analog converter, or D/A converter, or DAC, is an electronic component that converts digital signals into analog signals.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:6842368
    • 提供者:天天爱上学
  1. 基于DSP和FPGA的通用数字信号处理系统设计

    1下载:
  2. 利用DSP配合FPGA为硬件架构,以DSP为数据处理核心,通过FPGA对USB、ADC和DAC等外围设备进行控制,并可实现频谱分析、数字滤波器等数字信号处理算法。(With DSP and FPGA as the hardware architecture and DSP as the data processing core, the peripheral devices such as USB, ADC and DAC are controlled by FPGA, and the digi
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-01-14
    • 文件大小:688128
    • 提供者:小冰2
« 12 3 4 5 »
搜珍网 www.dssz.com